Part Number Hot Search : 
GL3PR41 MSJ200 S7812 F12C05 BTA44 MIC2566 OH10007 04304
Product Description
Full Text Search
 

To Download PC7410M16 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  1 features ? pc7410 risc microprocessor  dedicated 2 mb ssram l2 cache, configured as 256kx72  21 mm x 25 mm, 255 ceramic ball grid array  maximum core frequency = 400 mhz  maximum l2 cache frequency = 200 mhz  maximum 60x bus frequency = 100 mhz description the PC7410M16 multichip package is targeted for high performance, space sensitive, low power systems and supports the following power management features: doze, nap, sleep and dynamic power management. the PC7410M16 is offered in industrial and military temperature ranges and is well suited for embedded applications. screening  cbga upscreening based on atmel standards  full military temperature range (t j = -55 c, +125 c), industrial temperature range (t j = -40 c, +110 c) ssram ssram pc7410 risc microprocessor multichip package preliminary specification -site PC7410M16 rev. 2183a?hirel?12/02
2 PC7410M16 2183a?hirel?12/02 block diagram figure 1. PC7410M16 microprocessor block diagram reservation station reservation station fetcher dispatch unit reservation station vector permute unit vscr vector alu vsiu vciu vfpu reservation station vr file 6 rename buffers reservation station interger unit 1 . . + x interger unit 2 . . gpr file 6 rename buffers . . fpr file 6 rename buffers . . system register unit vector touch queue reservation station floating-point unit reservation station (2 entry) load/store unit (ea calculation) finished stores complete stores l1 operations load fold queue + + . . + x fpscr additional features time base counter/decrementer clock muliplier jtag/cop interface thermal/power management performance monitor instruction queue (6 word) completion queue (8 entry) completion unit branch processing unit btic (64 entry) bht (512 entry) lr ctr instruction mmu srs (shadow) 128-entry dtlb ibat array data mmu srs (original) 128-entry dtlb dbat array ta g s 32-kbyte i cache ta g s 32-kbyte i cache ability to complete up to two instructions per clock l2 data transaction queue l2 controller l2 ta gs l2cr l2pmcr l2 miss l2 castout data transaction queue bus interface unit data reload buffer instruction reload buffer instruction reload table data reload ta b l e memory subsystem ssram ssram 19-bit l2 address bus 64- 32-bit l2 data bus 64-bit data bus 32-bit address bus 32-bit 32-bit 32-bit 64-bit 64-bit 128-bit 128-bit ea pa 128-bit (4 instructions)
3 PC7410M16 2183a?hirel?12/02 features this section summarizes features of the PC7410M16?s implementation of the powerpc architecture. major features of the PC7410M16 are as follows:  branch processing unit ? four instructions fetched per clock ? one branch processed per cycle (plus resolving two speculations) ? up to one speculative stream in execution, one additional speculative stream in fetch ? 512-entry branch history table (bht) for dynamic prediction ? 64-entry, 4-way set associative branch target instruction cache (btic) for eliminating branch delay slots  dispatch unit ? full hardware detection of dependencies (resolved in the execution units) ? dispatch two instructions to eight independent units (system, branch, load/store, fixed-point unit 1, fixed-point unit 2, floating-point, altivec permute, altivec alu) ? serialization control (predispatch, postdispatch, execution serialization)  decode ? register file access ? forwarding control ? partial instruction decode  completion ? 8-entry completion buffer ? instruction tracking and peak completion of two instructions per cycle ? completion of instructions in program order while supporting out-of-order instruction execution, completion serialization and all instruction flow changes  fixed-point units (fxus) that share 32 gprs for integer operands ? fixed-point unit 1 (fxu1) ? multiply, divide, shift, rotate, arithmetic, logical ? fixed-point unit 2 (fxu2) ? shift, rotate, arithmetic, logical ? single-cycle arithmetic, shifts, rotates, logical ? multiply and divide support (multi-cycle) ? early out multiply  three-stage floating-point unit and a 32-entry fpr file ? support for ieee-754 standard single- and double-precision floating-point arithmetic ? three-cycle latency, one-cycle throughput (single or double precision) ? hardware support for divide ? hardware support for denormalized numbers ? time deterministic non-ieee mode system unit ? executes cr logical instructions and miscellaneous system instructions ? special register transfer instructions
4 PC7410M16 2183a?hirel?12/02  altivec unit ? full 128-bit data paths ? two dispatchable units: vector permute unit and vector alu unit ? contains its own 32-entry 128-bit vector register file (vrf) with six renames ? the vector alu unit is further sub-divided into the vector simple integer unit (vsiu), the vector complex integer unit (vciu) and the vector floating-point unit (vfpu). ? fully pipelined  load/store unit ? one-cycle load or store cache access (byte, half-word, word, double-word) ? two-cycle load latency with one-cycle throughput ? effective address generation ? hits under misses (multiple outstanding misses) ? single-cycle unaligned access within double-word boundary ? alignment, zero padding, sign extend for integer register file ? floating-point internal format conversion (alignment, normalization) ? sequencing for load/store multiples and string operations ? store gathering ? executes the cache and tlb instructions ? big- and little-endian byte addressing supported ? misaligned little-endian supported ? supports fxu, fpu, and altivec load/store traffic ? complete support for all four architecture altivec dst streams  level 1 (l1) cache structure ? 32k 32-byte line, 8-way set associative instruction cache (il1) ? 32k 32-byte line, 8-way set associative data cache (dl1) ? single-cycle cache access ? pseudo least-recently-used (lru) replacement ? data cache supports altivec lru and transient instructions algorithm ? copy-back or write-through data cache (on a page-per-page basis) ? supports all powerpc memory coherency modes ? non-blocking instruction and data cache ? separate copy of data cache tags for efficient snooping ? no snooping of instruction cache except for icbi instruction  memory management unit ? 128 entry, 2-way set associative instruction tlb ? 128 entry, 2-way set associative data tlb ? hardware reload for tlbs ? four instruction bats and four data bats ? virtual memory support for up to four petabytes (2 52 ) of virtual memory ? real memory support for up to four gigabytes (2 32 ) of physical memory ? snooped and invalidated for tlbi instructions
5 PC7410M16 2183a?hirel?12/02  efficient data flow ? all data buses between vrf, load/store unit, dl1, il1, l2 and the bus are 128 bits wide ? dl1 is fully pipelined to provide 128 bits per cycle to/from the vrf ? l2 is fully pipelined to provide 128 bits per l2 clock cycle to the l1s ? up to eight outstanding out-of-order cache misses between dl1 and l2/bus ? up to seven outstanding out-of-order transactions on the bus ? load folding to fold new dl1 misses into older outstanding load and store misses to the same line ? store miss merging for multiple store misses to the same line. only coherency action taken (i.e., address only) for store misses merged to all 32 bytes of a cache line (no data tenure needed). ? two-entry finished store queue and four-entry completed store queue between load/store unit and dl1 ? separate additional queues for efficient buffering of outbound data (castouts, write throughs, etc.) from dl1 and l2  bus interface ? mpx bus extension to 60x processor interface ? mode-compatible with 60x processor interface ? 32-bit address bus ? 64-bit data bus ? bus-to-core frequency multipliers of 2x, 2.5x, 3x, 3.5x, 4x, 4.5x, 5x, 5.5x, 6x, 6.5x, 7x, 7.5x, 8x, 9x supported ? selectable interface voltages of 1.8v, 2.5v and 3.3v  power management ? low-power design with thermal requirements very similar to pc740 and pc750 ? low voltage 1.8v processor core ? selectable interface voltages of 1.8v can reduce power in output buffers ? three static power saving modes: doze, nap, and sleep ? dynamic power management  testability ? lssd scan design ? ieee 1149.1 jtag interface ? array built-in self test (abist) ? factory test only ? redundancy on l1 data arrays and l2 tag arrays  reliability and serviceability ? parity checking on 60x and l2 cache buses
6 PC7410M16 2183a?hirel?12/02 signal description figure 2. PC7410M16 microprocessor signal groups l2pin_data l2pin_data l2pin_data l2pin_data l2 clk_out a l2we l2ce a 0-17 l2clk_out b l2pin_data l2pin_data l2pin_data l2pin_ data l2zz pc7410 dqa dqb dqc dqd k sgw se1 sa 0-17 sa 0-17 sgw se1 k dqa dqb dqc dqd ssram 1 ssram 2 ft sbd sbc sbb sba sw adsp adv se2 adsc se3 lbo g ft sbd sbc sbb sba sw adsp adv se2 adsc se3 lbo g l2v dd l2v dd l2dp0-3 dp0-3 l2dp4-7 dp0-3 zz zz u2 u1
7 PC7410M16 2183a?hirel?12/02 pcx7410 v dd ov dd av dd l2ov dd l2av dd 13 49 1 gnd ts chk gbl artry wt ci dbg d[0:63] dp[0:7] ta dti1 tea br bg abb/amon[0] a[0:31] ap[0:3] tt[0:4] tbst tsiz[0:2] aack dbwo, dti(0) dbb, dmon(0) dti(2) l2ce l2we sreset hreset hit l2addr[0:18] l2data[0:63] l2dp[0:7] l2clkouta, l2clkoutb l2sync_out l2sync_in l2zz int smi mcp ckstp_in ckstp_out shdo, shd1 rsrv 1 1 1 1 32 4 5 1 3 1 1 1 1 1 1 1 1 1 64 8 1 1 1 1 19 64 8 1 1 1 2 1 1 1 1 1 1 1 1 1 1 tben emode qreq qack drdy sysclk pll_cfg[0:3] clk_out jtag:cop factory test l1_tstclk, l2_tstclk bvsel l2vsel 1 2 1 1 1 1 1 1 1 4 1 5 3 1 1 12 20 1 l2 cache address/data address arbitration address bus address start transfer attribute address termination data arbitration data transfer data termination l2 cache clock/control interrupts reset processor status control clock control test interface lssd_mode i/o voltage selection
8 PC7410M16 2183a?hirel?12/02 detailed specification scope this drawing describes the specific requirements for the microprocessor PC7410M16 in compliance with atmel standard screening. applicable documents 1. mil-std-883: test methods and procedures for electronics 2. mil-prf-38535: appendix a: general specifications for microcircuits requirements general the microcircuits are in accordance with the applicable documents and as specified herein. design and construction terminal connections depending on the package, the terminal connections are as shown in table 10, table 3 and figure 2. absolute maximum ratings notes: 1. functional and tested operating conditions are given in operating conditions table. absolute maximum ratings are stress ratings only, and functional operation at the maximums is not guaranteed. stresses beyond those listed may affect device reliabil- ity or cause permanent damage to the device. 2. caution: vin must not exceed ov dd by more than 0.2v at any time including during power-on reset. 3. caution: ov dd /l2ov dd must not exceed v dd /av dd /l2av dd by more than 2.0v at any time including during power-on reset. 4. caution: v dd /av dd d/l2av dd must not exceed l2ov dd /ov dd by more than 0.4v at any time including during power-on reset. 5. l2ov dd should never exceed l2v dd table 1. absolute maximum ratings (1) symbol characteristic value unit notes v dd core supply voltage -0.3 to 2.1 v (4) av dd pll supply voltage -0.3 to 2.1 v (4) l2av dd l2 dll supply voltage -0.3 to 2.1 v (4) ov dd 60x bus supply voltage -0.3 to 3.465 v (3) l2ov dd l2 bus supply voltage -0.3 to 2.6 v (3) l2v dd l2 supply voltage -0.3 to 4.6 v (5) v in input supply processor bus -0.3 to ov dd + 0,2 v (2) v in l2 bus -0.3 to l2ov dd + 0,2 v (2) v in jtag signals -0.3 to ov dd + 0,2 v (2) t stg storage temperature range -55 to 150 c
9 PC7410M16 2183a?hirel?12/02 figure 3. overshoot/undershoot voltage the PC7410M16 provides several i/o voltages to support both compatibility with exist- ing systems and migration to future systems. the PC7410M16 ?core? voltage must always be provided at nominal voltage (see table 3 for actual recommended core volt- age). voltage to the l2 i/os and processor interface i/os are provided through separate sets of supply pins and may be provided at the voltages shown in table 2. the input voltage threshold for each bus is selected by sampling the state of the voltage select pins at the negation of the signal hreset . the output voltage will swing from gnd to the maximum voltage applied to the o v dd or l2o v dd power pins. notes: 1. caution: the input threshold selection must agree with the ov dd /l2ov dd voltages supplied. 2. to select the 2.5v threshold option, l2vsel/bvsel should be tied to hreset so that the two signals change state together. this is the preferred method for selecting this mode operation. 3. default voltage setting if left unconnected (internal pull-up). to overcome the internal pull up resistance, a pull down resistance less than 250 ? should be used. table 2. input threshold voltage setting bvsel signal processor bus input threshold is relative to: l2vsel signal l2 bus input threshold is relative to: 0 (1) 1.8v 0 1.8 hreset (1) (2) 2.5v hreset 2.5 1 (1)(3) 3.3v 1 2.5 hreset 3.3v hreset not supported not to exceed 10% of t sysclk (l2)ov dd + 20% (l2)ov dd + 5% (l2)ov dd v ih v il gnd gnd - 0.3v gnd - 0.7v
10 PC7410M16 2183a?hirel?12/02 recommended operating conditions note: 1. these are the recommended and tested operating conditions. proper device operation outside of these conditions is not guaranteed. table 3. recommended operating conditions (1) symbol characteristic recommended value unit v dd core supply voltage 1.8 100 mv v av dd pll supply voltage 1.8 100 mv v l2av dd l2 dll supply voltage 1.8 100 mv v ov dd processor bus supply voltage bvsel = 0 1.8 100 mv v ov dd bvsel = hreset 2.5 100 mv v ov dd bvsel = 1 or = hreset 3.3 165 mv v l2ov dd l2 bus supply voltage l2vsel = 1 or l2vsel = hreset 2.5 100 mv v l2v dd memory core supply voltage 3.3v 165mv v v in input voltage processor bus and jtag signals gnd to ov dd v
11 PC7410M16 2183a?hirel?12/02 l2 cache control register (l2cr) the l2 cache control register, shown in figure 4, is a supervisor-level, implementation- specific spr used to configure and operate the l2 cache. it is cleared by hard reset or power-on reset. figure 4. l2 cache control register (l2cr) the l2cr bits are described in table 4. table 4. l2cr bit settings bit name function 0 l2e l2 enable. enables l2 cache operation (including snooping) starting with the next transaction the l2 cache unit receives. before enabling the l2 cache, the l2 clock must be configured through l2cr[2clk], and the l2 dll must stabilize. all other l2cr bits must be set appropriately. the l2 cache may need to be invalidated globally. 1 l2pe l2 data parity checking enable. enables parity generation and checking for the l2 data ram interface. when disabled, generated parity is always zeros. l2 parity is supported by PC7410M16, but is dependent on application. 2-3 l2siz l2 size ? should be set according to the size of the private memory setting. total sram space is 2m bytes (256kx72). see l2 cache/private memory configurations table in motorola ? user's manual. 4-6 l2clk l2 clock ratio (core-to-l2 frequency divider). spec ifies the clock divider ratio based from the core clock frequency that the l2 data ram interface is to operate at. when these bits are cleared, the l2 clock is stopped and the on-chip dll for the l2 interface is disabled. for nonzero values, the processor generates the l2 clock and the on-chip dll is enabled. after the l2 clock ratio is chosen, the dll must stabilize before the l2 interface can be enabled. the resulting l2 clock frequency cannot be slower than the clock frequency of the 60x bus interface. 000 l2 clock and dll disabled 001 1 010 1.5 011 3.5 100 2 101 2.5 110 3 111 4 7-8 l2ram l2 ram type ? configures the l2 ram interface for the type of synchronous srams used:  pipelined (register-register) synchronous burst srams that clock addresses in and clock data out the 7410 does not burst data into the l2 cache, it generates an address for each access. 10 pipelined (register-register) synchronous burst sram - setting for PC7410M16 9 l2do l2 data only. setting this bit enables data-only operation in the l2 cache. when this bit is set, only transactions from the l1 data cache can be cached in the l2 cache. l1 instruction cache operations will be serviced for instruction addresses already in the l2 cache; however, the l2 cache will not be reloaded for l1 instruction cache misses. note that setting both l2do and l2io effectively locks the l2 cache. 10 l2i l2 global invalidate. setting l2i invalidates the l2 cache globally by clearing the l2 status bits. this bit must not be set while the l2 cache is enabled. see motorola's user manual for l2 invalidation procedure. 30 31 l2e l2siz l2clk l2ram l2i l2oh 0000000 l2wt l2df l2fa l2clkstp l2pe l2do l2ctl l2ts l2sl l2byp l2hwf l2io l2dro l2ip 012 3 4678 9101112131415161718192021222324
12 PC7410M16 2183a?hirel?12/02 11 l2ctl l2 ram control (zz enable). setting l2ctl enables the automatic operation of the l2zz (low-power mode) signal for cache rams. sleep mode is supported by the PC7410M16 . while l2ctl is asserted, l2zz asserts automatically when the device enters nap or sleep mode and negates automatically when the device exits nap or sleep mode. this bit should not be set when the device is in nap mode and snooping is to be performed through deassertion of qack. 12 l2wt l2 write-through. setting l2wt selects write-through mode (rather than the default write-back mode) so all writes to the l2 cache also write through to the system bus. for these writes, the l2 cache entry is always marked as clean (value unmodified) rather than dirty (value modified). this bit must never be asserted after the l2 cache has been enabled as previously-modified lines can get remarked as clean (value unmodified) during normal operation. 13 l2ts l2 test support. setting l2ts causes cache block pushes from the l1 data cache that result from dcbf and dcbst instructions to be written only into the l2 cache and marked valid, rather than being written only to the system bus and marked invalid in the l2 cache in case of hit. this bit allows a dcbz / dcbf instruction sequence to be used with the l1 cache enabled to easily initialize the l2 cache with any address and data information. this bit also keeps dcbz instructions from being broadcast on the system and single-beat cacheable store misses in the l2 from being written to the system bus. 14-15 l2oh l2 output hold. these bits configure output hold time for address, data, and control signals driven to the l2 data rams. 01: 0.8 ms hold time - setting for PC7410M16 16 l2sl l2 dll slow. setting l2sl increases the delay of each tap of the dll delay line. it is intended to increase the delay through the dll to accommodate slower l2 ram bus frequencies. 0: setting for PC7410M16 because l2 ram interface is operated above 100 mhz. 17 l2df l2 differential clock. this mode supports the differential clock requirements of late-write srams. 0: setting for PC7410M16 because late-write srams are not used. 18 l2byp l2 dll bypass is reserved. 0: setting for PC7410M16 19 l2fa l2 flush assist (for software flush). when this bit is negated, all lines castout from the dl1 which have a state of cdmrsv=01xxx1 (i.e. c-bit negated), will not allocate in the l2 if they miss. asserting this bit forces every castout from the dl1 to allocate an entry in the l2 if that castout misses in the l2 regardless of the state of the c-bit. the l2fa bit must be set and the l2io bit must be cleared in order to use the software flush algorithm. 20 l2hwf l2 hardware flush. when the processor detects the value of l2hwf set to 1, the l2 will begin a hardware flush. the flush will be done by starting with low cache indices and increment these indices for way 0 of the cache, one index at a time until the maximum index value is obtained. then, the index will be cleared to zero and the same process is repeated for way 1 of the cache. for each index and way of the cache, the processor will generate a castout operation to the system bus for all modified 32-byte sectors. at the end of the hardware flush, all lines in the l2 tag will be invalidated. during the flush, all memory activity from the icache and dcache are blocked from accessing the l2 until the flush is complete. snoops, however, are fully serviced by the l2 during the flush. when the l2 tags have been fully flushed of all valid entries, this bit will be reset to b'0" by hardware. when this bit is cleared, it does not necessarily guarantee that all lines from the l2 have been written completely to the system interface. l2 copybacks can still be queued in the bus interface unit. below is the code which must be run to use l2 hardware flush. when the final sync completes, all modified lines in the l2 will have been written to the system address bus. disable interrupts dssall sync set l2hwf sync table 4. l2cr bit settings (continued) bit name function
13 PC7410M16 2183a?hirel?12/02 power consideration power management the PC7410M16 provides four power modes, selectable by setting the appropriate con- trol bits in the msr and hido registers. the four power modes are:  full-power: this is the default power state of the PC7410M16. the PC7410M16 is fully powered and the internal functional units are operating at the full processor clock speed. if the dynamic power management mode is enabled, functional units that are idle will automatically enter a low-power state without affecting performance, software execution or external hardware.  doze: all the functional units of the PC7410M16 are disabled except for the time base/decrementer registers and the bus snooping logic. when the processor is in doze mode, an external asynchronous interrupt, a system management interrupt, a decrementer exception, a hard or soft reset or machine check brings the PC7410M16 into the full-power state. the PC7410M16 in doze mode maintains the pll in a fully powered state and locked to the system external clock input (sysclk) so a transition to the full-power state takes only a few processor clock cycles.  nap: the nap mode further reduces power consumption by disabling bus snooping, leaving only the time base register and the pll in a powered state. the PC7410M16 returns to the full-power state upon receipt of an external asynchronous interrupt, a system management interrupt, a decrementer exception, a hard or soft reset or a machine check input (mcp ). a return to full-power state from a nap state takes only a few processor clock cycles. when the processor is in nap mode, if qack is negated, the processor is put in doze mode to support snooping.  sleep: sleep mode minimizes power consumption by disabling all internal functional units, after which external system logic may disable the pll and sysclk. returning the PC7410M16 to the full-power state requires the enabling of the pll and sysclk, followed by the assertion of an external asynchronous interrupt, a system management interrupt, a hard or soft reset or a machine check input (mcp ) signal after the time required to relock the pll. 21 l2io l2 instruction-only. setting this bit enables instruction-only operation in the l2 cache. for this operation, only transactions from the l1 instruction cache are allowed to be reloaded in the l2 cache. data addresses already in the cache will still hit for the l1 data cache. when both l2do and l2io are asserted, the l2 cache is effectively locked. 22 l2clkstp l2 clock stop. setting this bit enables the automatic stopping of the l2clk_out signals for cache rams that support this function. while l2clkstp is set, the l2clk_out signals will automatically be stopped when PC7410M16 enters nap or sleep mode, and automatically restarted when PC7410M16 exits nap or sleep. 23 l2dro l2 dll rollover. setting this bit enables a potential rollover (or actual rollover) condition of the dll to cause a checkstop for the processor. a potential rollover condition occurs when the dll is selecting the last tap of the delay line, and thus may risk rolling over to the first tap with one adjustment while in the process of keeping synchronized. such a condition is improper operation for the dll, and, while this condition is not expected, it allows detection for added security. this bit can be set when the dll is first enabled (set with the l2clk bits) to detect rollover during initial synchronization. it could also be set when the l2 cache is enabled (with l2e bit) after the dll has achieved its initial lock. 24-30 ? reserved 31 l2ip l2 global invalidate in progress (read only) ? see the motorola user's manual for l2 invalidation procedure. table 4. l2cr bit settings (continued) bit name function
14 PC7410M16 2183a?hirel?12/02 power dissipation notes: 1. these values apply for all valid system bus and l2 bus ratios. the values do not include ov dd ; av dd and l2av dd suppling power. ov dd power is system dependent, but is typically < 10% of v dd power. worst case power consumption, for av dd = 15 mw and l2av dd = 15 mw. 2. maximum power is measured at v dd = 1.9v while running an entirely cache-resident, contrived sequence of instructions which keep the execution units maximally busy. 3. typical power is an average value measured at v dd = av dd = l2av dd = 1.8v, ov dd = l2ov dd = 2.5v in a system, executing typical applications and benchmark sequences. table 5. power consumption v dd = av dd = 1.8 0.1v v dc , l2v dd = 3.3v 5% v dc , gnd = 0 v dc , 0 tj < 125 c processor (cpu) frequency/l2 frenquency 400 mhz/200 mhz unit notes full-on mode typical 5.7 w (1)(3) maximum 13.5 w (1)(2) doze mode maximum maximum 5.3 w (1)(2) nap mode maximum maximum 2.25 w (1)(2) sleep mode maximum 2.20 w (1)(2) sleep mode?pll and dll disabled maximum 2.0 w (1)(2)
15 PC7410M16 2183a?hirel?12/02 electrical characteristics static characteristics notes: 1. nominal voltages; see table 3 for recommended operating conditions. 2. for processor bus signals, the reference is ov dd while l2ov dd is the reference for the l2 bus signals. 3. excludes test signals (lssd_mode, l1_tstclk, l2_tstclk) and ieee 1149.1 boundary scan (jtag) signals. 4. capacitance is periodically sampled rather than 100% tested. 5. the leakage is measured for nominal ov dd and v dd , or both ov dd and v dd must vary in the same direction (for example, both ov dd and v dd vary by either +5% or -5%). table 6. dc electrical specifications (see table 3 for recommended operating conditions ) symbol characteristic nominal bus voltag e (1) min max unit v ih input high voltage (all inputs except sysclk) (2)(3) 1.8 0.65 x (l2)ov dd (l2)ov dd + 0.2 v v ih 2.5 1.7 (l2)ov dd + 0.2 v v ih 3.3 2.0 (l2)ov dd + 0.3 v v il input low voltage (all inputs except sysclk) 1.8 -0.3 0.35 x ov dd v v il 2.5 -0.3 0.2 x (l2)ov dd v v il 3.3 -0.3 0.8 v cv ih sysclk input high voltage (2) 1.8 1.5 ov dd + 0.2 v cv ih 2.5 2.0 ov dd + 0.2 v cv ih 3.3 2.4 ov dd + 0.3 v cv il sysclk input low voltage 1.8 -0.3 0.2 v cv il 2.5 -0.3 0.4 v cv il 3.3 -0.3 0.4 v i in input leakage current, v in = l2ov dd /ov dd (2)(3) 10 a i tsi high-z (off-state) leakage current, v in = l2ov dd /ov dd (2)(3)(5) 10 a v oh output high voltage, i oh = -6 ma 1.8 (l2)ov dd - 0.45 v v oh 2.5 1.7 v v oh 3.3 2.4 v v ol output low voltage, i ol = 6 ma 1.8 0.45 v v ol 2.5 0.4 v v ol 3.3 0.4 v c in capacitance, v in = 0v, f = 1 mhz (3)(4) 7.5 pf
16 PC7410M16 2183a?hirel?12/02 dynamic characteristics after fabrication, parts are sorted by ma ximum processor core frequency as shown in ?clock ac specifications? and tested for c onformance to the ac specifications for that frequency. these specifications are for valid processor core frequencies. the processor core frequency is determined by the bus (sysclk) frequency and the settings of the pll_cfg[0:3] signals. parts are sold by maximum processor core frequency. clock ac specifications table 7 provides the clock ac timing specifications as defined in figure 5. notes: 1. caution: the sysclk frequency and pll_cfg[0:3] settings must be chosen such that the resulting sysclk (bus) fre- quency, cpu (core) frequency and pll (vco) frequency do not exceed their respective maximum or minimum operating frequencies. refer to the pll_cfg[0:3] signal description in ?clock selection? on page 26 for valid pll_cfg[0:3] settings 2. rise and fall times for the sysclk input measured from 0.4v to 2.4v when ov dd = 3.3v nominal. 3. rise and fall times for the sysclk input measured from 0.2v to 1.2v when ov dd = 1.8v or 2.5v nominal. 4. timing is guaranteed by design and characterization. 5. this represents total input jitter, short-term and long-term combined, and is guaranteed by design. 6. relock timing is guaranteed by design and characterization. pll-relock time is the maximum amount of time required for pll lock after a stable v dd and sysclk are reached during the power-on reset sequence. this specification also applies when the pll has been disabled and subsequently re-enabled during sleep mode. also note that hreset must be held asserted for a minimum of 255 bus clocks after the pll-relock time during the power-on reset sequence. figure 5. sysclk input timing diagram note: vm = midpoint voltage (ov dd /2) table 7. clock ac timing specifications (see table 3 for recommended operating conditions ) symbol characteristic maximum processor core frequency unit 400 mhz 450 mhz min max min max f core (1) processor frequency 350 400 350 450 mhz f vco (1) vco frequency 450 800 450 900 mhz f sysclk (1) sysclk frequency 33 133 33 133 mhz t sysclk sysclk cycle time 7.5 30 7.5 30 ns t kr & t kf (2) sysclk rise and fall time 1.0 1.0 ns t kr & t kf (3) 0.5 0.5 ns t khkl /t sysclk (4) sysclk duty cycle measured at ov dd /2 40 60 40 60 % sysclk jitter (5) 150 150 ps internal pll relock time (6) 100 100 s sysclk vm vm vm cvil cvih t khkl t sysclk t kr t kf
17 PC7410M16 2183a?hirel?12/02 processor bus ac specifications table 8 provides the processor ac timing specifications for the PC7410M16 as defined in figure 7 and figure 8. notes: 1. all input specifications are measured from the midpoint of the signal in question to the midpoint of the rising edge of the input sysclk. all output specifications are measur ed from the midpoint of the rising edge of sysclk to the midpoint of the sig- nal in question. all output timings assume a purely resistive 50 ? load (see figure 7). input and output timings are measured at the pin; time-of-flight delays must be added for trace lengths, vias and connectors in the system. 2. the symbology used for timing specifications herein follows the pattern of t (signal)(state)(reference)(state) for inputs and t (reference)(state)(signal)(state) for outputs. for example, t ivkh symbolizes the time input signals (i) reach the valid state (v) relative to the sysclk reference (k) going to the high (h) state or input setup time. and t khov symbolizes the time from sysclk (k) going high (h) until outputs (o) are valid (v) or output valid time. input hold time can be read as the time that the input signal (i) went invalid (x) with respect to the rising clock edge (kh) - note the position o f the reference and its state for inputs -and output hold time can be read as the time from the rising edge (kh) until the output went invalid (ox). 3. the setup and hold time is with respect to the rising edge of hreset (see figure 8). 4. this specification is for configuration mode select only. also note that the hreset must be held asserted for a minimum of 255 bus clocks after the pll re-lock time during the power-on reset sequence. 5. t sysclk is the period of the external clock (sysclk) in nanoseconds(ns). the numbers given in the table must be multiplied by the period of sysclk to compute the actual time duration (in nanoseconds) of the parameter in question. 6. mode select signals are bvsel, emode, l2vsel, pll_cfg[0:3]. 7. all other output signals are composed of the following - a[0:31], ap[0:3], tt[0:4], tbst , tsiz[0:2], gbl , wt , ci, dh[0:31], dl[0:31], dp[0:7], br , ckstp_out , drdy , hit , qreq , rsrv . 8. output valid time is measured from 2.4v to 0.8v which may be longer than the time required to discharge from v dd to 0.8v. table 8. processor bus ac timing specifications (1) at v dd = av dd = 1.8v 100 mv; -55c t j 125c, ov dd = 1.8v 100 mv symbol (2) parameter 400, 450 mhz unit min max t mvrh (3)(4)(5)(6) mode select input setup to hreset 8t sysclk t mxrh (2)(3)(5) hreset to mode select input hold 0 ns t ivkh input setup 1.0 ns t ixkh input hold 0ns t khtsv t kharv t khov output valid times: (7)(8) ts artry /shd0 /shd1 all other outputs 3.0 2.3 3.0 ns t khtsx t kharx t khox output hold times: (7)(12) ts artry /shd0 /shd1 all other outputs 0.5 0.5 0.5 ns t khoe (11) sysclk to output enable 0.5 ns t khoz sysclk to output high impedance (all except abb/amon[0] , artry /shd , dbb/dmon[0] ), shd0 , shd1 ) 3.5 ns t khabpz (5)(9)(11) sysclk to abb/amon[0] , dbb/dmon[0] high impedance after precharge 1.0 t sysclk t kharp (5)(10)(11) maximum delay to artry /shd0 /shd1 precharge 1 t sysclk t kharpz (5)(10)(11) sysclk to artry /shd0 /shd1 high impedance after precharge 2 t sysclk
18 PC7410M16 2183a?hirel?12/02 9. according to the 60x bus protocol, abb and dbb are driven only by the currently active bus master. they are asserted low then precharged high before returning to high-z as shown in figure 6. the nominal precharge width for abb or dbb is 0.5 x t sysclk , i.e., less than the minimum t sysclk period, to ensure that another master asserting abb , or dbb on the following clock will not contend with the precharge. output valid and output hold timing is tested for the signal asserted. output valid time is tested for precharge. the high-z behavior is guaranteed by design. 10. according to the 60x bus protocol, artry can be driven by multiple bus masters through the clock period immediately fol- lowing aack . bus contention is not an issue since any master asserting artry will be driving it low. any master asserting it low in the first clock following aack will then go to high-z for one clock before precharging it high during the second cycle after the assertion of aack . the nominal precharge width for artry is 1.0 t sysclk ; i.e., it should be high-z as shown in fig- ure 6 before the first opportunity for another master to assert artry . output valid and output hold timing are tested for the signal asserted. output valid time is tested for precharge. the high-z behavior is guaranteed by design. 11. guaranteed by design and not tested. 12. output hold time characteristics can be altered by the use of the l2_tstck pin during system reset, similar to l2 output hold being altered by the use of bits [14-15] in the l2cr register. information on the operation of the l2_tstclk will be included in future revisions of this specification. figure 6. input/output timing diagram t ivkh t ixkh t khov t khox t khoe t khoz t khtsv t khtsv t khabpz t khtsx t kharv t kharv t kharpz t kharp t kharx vm = midpont voltage (ov dd /2) sysclk all inputs vm vm vm all outputs (except ts, abb, artry, dbb) ts, abb/amon[0], dbb/dmon[0] all outputs (except ts, abb, artry, dbb) artry, shd0, shd1
19 PC7410M16 2183a?hirel?12/02 figure 7. ac test load for the 60x interface figure 8. mode input timing diagram where vm = midpoint voltage (ov dd /2) ieee 1149.1 ac timing specifications table 9 provides the ieee 1149.1 (jtag) ac timing specifications as defined in figure 9, figure 10, figure 11 and figure 12. notes: 1. all outputs are measured from the midpoint voltage of the falling/rising edge of tclk to the midpoint of the signal in question. the output timings are measured at the pins. all output timings assume a purely resistive 50 ? load (see figure 9). time-of-flight delays must be added for trace lengths, vias and connectors in the system. 2. trst is an asynchronous level sensitive signal. the setup time is for test purposes only. 3. non-jtag signal input timing with respect to tck. 4. non-jtag signal output timing with respect to tck. 5. guaranteed by design and characterization z0 = 50 ohms rl = 50 ohms ov dd /2 output vm t mvrh t mxrh hreset mode signals table 9. jtag ac timing specifications (independent of sysclk) (1) at recommended operating conditions (see table 3) symbol parameter min max unit f tclk tck frequency of operation 0 33.3 mhz t tclk tck cycle time 30 ns t jhjl tck clock pulse width measured at ov dd /2 15 ns t jr & t jf tck rise and fall times 0 2 ns t trst (2) trst assert time 25 ns t dvjh (3) t ivjh input setup times: boundary-scan data tms, tdi 4 0 ns t dxjh (3) t ixjh input hold times: boundary-scan data tms, tdi 20 25 ns t jldv (4) t jlov valid times: boundary-scan data tdo 4 4 20 25 ns t jldz (4)(5) t jloz (5) tck to output high impedance: boundary-scan data tdo 3 3 19 9 ns
20 PC7410M16 2183a?hirel?12/02 figure 9. alternate ac test load for the jtag interface figure 10. jtag clock input timing diagram note: vm = midpoint voltage (ov dd /2) figure 11. trst timing diagram note: vm = midpoint voltage (ov dd /2) figure 12. boundary-scan timing diagram note: vm = midpoint voltage (ov dd /2) z0 = 50 ohms rl = 50 ohms ov dd /2 output tclk t jr t jf t jhjl t tclk vm vm vm trst t trst vm vm tck t jldx vm boundary data inputs boundary data outputs boundary data outputs vm input data valid t d vjh t dxjh t jld v t jldz output data valid output data valid
21 PC7410M16 2183a?hirel?12/02 figure 13. test access port timing diagram note: vm = midpoint voltage (ov dd /2) preparation for delivery handling mos devices must be handled with certain pr ecautions to avoid damage due to accu- mulation of static charge. input protection devices have been designed in the chip to minimize the effect of static buildup. however, the following handling practices are recommended:  devices should be handled on benches with conductive and grounded surfaces.  ground test equipment, tools and operator.  do not handle devices by the leads.  store devices in conductive foam or carriers.  avoid use of plastic, rubber or silk in mos areas.  maintain relative humidity above 50% if practical.  for ci-cga packages, use specific tray to take care of the highest height of the package compared with the normal cbga. tck t jlox vm tdi, tms tdo tdo vm input data valid t ivjh t ixjh t jlov t jloz output data valid output data valid
22 PC7410M16 2183a?hirel?12/02 figure 14. pin assignments substrate assembly underfill encapsulant view die side profile of the cbga package to indicate the direction of the top surface view ball assignments of the 255 cbga package as viewed from the top surface
23 PC7410M16 2183a?hirel?12/02 table 10. package pinout listing signal name pin number active i/o 1.8v (7) 2.5v (7) 3.3v (7) a[0-31] c16, e4, d13, f2, d14, g1, d15, e2, d16, d4, e13, g2, e15, h1, e16, h2, f13, j1, f14, j2, f15, h3, f16, f4, g13, k1, g15, k2, h16, m1, j15, p1 high i/o aack l2 low input abb /amono (8) k4 low output ap[0-3] c1, b4, b3, b2 high i/o artry j4 low i/o av dd a10 ? ? 1.8v 1.8v 1.8v bg l1 low input br b6 low output bvsel (4)(6) b1 high input gnd hreset ov dd chk (5)(6)(13) c6 low input ci e1 low output ckstp_in d8 low input ckstp_out a6 low output clk_out d7 ? output dbb /dmono (8) j14 low output dbg n1 low input dbwo /dtio g4 low input dh[0-31] p14, t16, r15, t15, r13, r12, p11, n11, r11, t12, t11, r10, p9, n9, t10, r9, t9, p8, n8, r8, t8, n7, r7, t7, p6, n6, r6, t6, r5, n5, t5, t4 high i/o dl[0-31] k13, k15, k16, l16, l15, l13, l14, m16, m15, m13, n16, n15, n13, n14, p16, p15, r16, r14, t14, n10, p13, n12, t13, p3, n3, n4, r3, t1, t2, p4, t3, r4 high i/o dp[0-7] m2, l3, n2, l4, r1, p2, m4, r2 high i/o drdy (5)(9)(12) d5 low output dti 1-2 (9)(11) g16, h15 low input emode (10)(11) c4 low input gbl f1 low i/o gnd c5, c12, e3, e6, e8, e9, e11, e14, f3, f5, f7, f10, f12, g6, g8, g9, g11, h5, h7, h10, h12, j5, j7, j10, j12, k6, k8, k9, k11, l5, l7, l10, l12, m3, m6, m8, m9, m11, m14, p5, p12 ??gndgndgnd hit (5)(12) a3 low output hreset a7 low input int b15 low input l1_tstclk (1) d11 high input
24 PC7410M16 2183a?hirel?12/02 notes: 1. these are test signals for factory use only and must be pulled up to ov dd for normal machine operation. 2. ov dd inputs supply power to the i/o drivers and v dd inputs supply power to the processor core. 3. to allow future l2 cache i/o interface voltage changes. 4. to allow processor bus i/0 voltage changes, provide the option to connect bvsel to hreset (selects 2.5v interface) or to gnd (selects 1.8v interface) or to ov dd (selects 3.3v interface). 5. uses one of 9 existing no-connects in pc755bm8. l2_tstclk (1) d12 high input l2av dd l11 ? ? 1.8v 1.8v 1.8v l2v dd (5)(7) a2, b8, c3, d6, j16 ? ? 3.3v 3.3v 3.3v l2ov dd e10, e12, m12, g12, g14, k12, k14 ? ? 2.5v n/a l2vsel (3)(6) b5 high input (15) ? hreset n/a lssd_mode (1) b10 low input 3.3v mcp c13 low input nc (no- connect) b7, c8 ? ? ov dd (2) c7, e5, g3, g5, k3, k5, p7, p10, e07, m05, m07, m10 ? ? pll_cfg[0-3] a8, b9, a9, d9 high input qack d3 low input qreq j3 low output rsrv d1 low output shdo-1 (5)(14) a4, a5 low i/o smi a16 low input sreset b14 low input sysclk c9 ? input ta h14 low input tben c2 high input tbst a14 low i/o tck c11 high input tdi (6) a11 high input tdo a12 high output tea h13 low input tms (6) b11 high input trst (6) c10 low input ts j13 low i/o ts iz[0-2] a13, d10, b12 high output tt[0-4] b13, a15, b16, c14, c15 high i/o v dd (2) f6, f8, f9, f11, g7, g10, h4, h6, h8, h9, h11, j6, j8, j9, j11, k7, k10, l6, l8, l9 ? ? 1.8v 1.8v wt d2 low output table 10. package pinout listing (continued) signal name pin number active i/o 1.8v (7) 2.5v (7) 3.3v (7)
25 PC7410M16 2183a?hirel?12/02 6. internal pull up on die. 7. ov dd supplies power to the processor bus, jtag, and all control signals except the l2 cache controls (l2ce, l2we, and l2zz); l2ov dd supplies power to the l2 cache i/o interface (l2addr (0-18], l2data (0-63), l2dp{0-7] and l2sync-out) and the l2 control signals; l2av dd supplies power to the ssram core memory; and v dd supplies power to the processor core and the pll and dll (after filtering to become av dd and l2av dd respectively). these columns serve as a reference for the nominal voltage supported on a given signal as selected by the bvsel pin configuration and the voltage supplied. for actual recommended value of vin or supply voltages see recommended operating conditions. 8. output only for 7410, was i/o for 750/755. 9. enhanced mode only. 10. deasserted (pulled high) at hreset for 60x bus mode. 11. reuses 750/755 drtry , dbis , and tlbisync pins (dti1, dti2, and emode respectively). 12. unused output in 60x bus mode. 13. connect to hreset to trigger post power-on-reset (por) internal memory test. 14. ignored in 60x bus mode. 15. not supported on this version. figure 15. package dimensions 255 ball grid array table 11. package description package outline 21 x 25 mm interconnects 255 (16 x 16 ball array less one) pitch 1.27 mm maximum module height 3.90 mm ball diameter 0.8 mm 12345678910111213141516 a b c d e f g h j k l m n p r t ? 0.80 (0.032) bsc 1.27 (0.050) bsc 19.05 (0.750) bsc 19.05 (0.750) bsc a1 corner 25.25 (0.994) max 21.21 (0.835) max 2.20 (0.087) max
26 PC7410M16 2183a?hirel?12/02 clock selection the PC7410M16?s pll is configured by the pll_cfg[0:3] signals. for a given sysclk (bus) frequency, the pll configuration signals set the internal cpu and vco frequency of operation. the pll configuration for the PC7410M16 is shown in table 12 for example frequencies. notes: 1. pll_cfg[0:3] settings not listed are reserved. 2. the sample bus-to-core frequencies shown are for reference only. some pll configurations may select bus, core, or vco frequencies which are not useful, not supported, or not tested for by the PC7410M16; see ?clock ac specifications? on page 16 for valid sysclk, core, and vco frequencies. 3. in pll-bypass mode, the sysclk input signal clocks the inte rnal processor directly, the pll is disabled, and the bus mode is set for 1:1 mode operation. this mode is intended for factory use only. note: the ac timing specifications given in this document do not apply in pll-bypass mode. 4. in pll-off mode, no clocking occurs inside the PC7410M16 regardless of the sysclk input. table 12. PC7410M16 microprocessor pll configuration pll_c fg[0:3] example bus-to-core frequency in mhz (vco frequency in mhz) bus-to- core multiplier core-to- vco multiplier bus 33.3 mhz bus 50 mhz bus 66.6 mhz bus 75 mhz bus 83.3 mhz bus 100 mhz bus 133 mhz 0100 2x 2x 0110 2.5x 2x 1000 3x 2x 400 (800) 1110 3.5x 2x 350 (700) 1010 4x 2x 400 (800) 0111 4.5x 2x 375 (750) 450 (900) 1011 5x 2x 375 (750) 416 (833) 1001 5.5x 2x 366 (733) 412 (825) 1101 6x 2x 400 (800) 450 (900) 0101 6.5x 2x 433 (866) 0010 7x 2x 350 (700) 0001 7.5x 2x 375 (750) 1100 8x 2x 400 (800) 0000 9x 2x 450 (900) 0011 pll off/bypass pll off, sysclk clocks core circuitry directly, 1x bus-to-core implied 1111 pll off pll off, no core clocking occurs
27 PC7410M16 2183a?hirel?12/02 system design information pll power supply filtering the a v dd and l2av dd power signals are provided on the PC7410M16 to provide power to the clock generation phase-locked loop and l2 cache delay-locked loop, respectively. to ensure stability of the internal clock, the power supplied to the av dd input signal should be filtered of any noise in the 500 khz to 10 mhz resonant frequency range of the pll. a circuit similar to the one shown in figure 16 using surface mount capacitors with minimum effective series inductance (esl) is recommended. the circuit should be placed as close as possible to the av dd pin to minimize noise cou- pled from nearby circuits. an identical but separate circuit should be placed as close as possible to the l2av dd pin. it is often possible to route directly from the capacitors to the av dd pin, which is on the periphery of the 360-ball cbga footprint without the induc- tance of vias. the l2av dd pin may be more difficult to route but is proportionately less critical. figure 16. pll power supply filter circuit power supply voltage sequency the notes in table 1 contain cautions about the sequencing of the external bus voltages and core voltage of the PC7410M16 (when they are different). these cautions are nec- essary for the long term reliability of the par t. if they are violated, the electrostatic discharge (esd) protection diodes will be forward-biased and excessive current can flow through these diodes. if the system power supply design does not control the volt- age sequencing, one or both of the circuits of figure 17 can be added to meet these requirements. the mur420 schottky diodes of figure 17 control the maximum potential difference between the external bus and core power supplies on power-up and the 1n5820 diodes regulate the maximum potential difference on power-down. figure 17. example voltage sequencing circuits v dd 10 ? 2.2 f 2.2 f gnd av dd (or l2av dd ) low esl surface mount capacitor mur420 mur420 1n5820 1n5820 1.8v 2.5v
28 PC7410M16 2183a?hirel?12/02 decoupling recommendations due to the PC7410M16?s dynamic power management feature, large address and data buses and high operating frequencies, t he PC7410M16 can generate transient power surges and high frequency noise in its power supply, especially while driving large capacitive loads. this noise must be prevented from reaching other components in the PC7410M16 system and the PC7410M16 itself requires a clean, tightly regulated source of power. therefore, it is recommended that the system designer place at least one decoupling capacitor at each v dd , ov dd , and l2ov dd pin of the PC7410M16. it is also recommended that these decoupling capacitors receive their power from separate v dd , (l2)ov dd , and gnd power planes in the pcb, utilizing short traces to minimize inductance. these capacitors should have a value of 0.01 f or 0.1 f. only ceramic smt (surface mount technology) capacitors should be used to minimize lead inductance, preferably 0508 or 0603 orientations where connections are made along the length of the part. consistent with the recommendations of dr. howard johnson in high speed digital design: a handbook of black magic (prentice hall, 1993) and contrary to previous rec- ommendations for decoupling powerpc microprocessors, multiple small capacitors of equal value are recommended over using multiple values of capacitance. in addition, it is recommended that there be several bulk storage capacitors distributed around the pcb, feeding the v dd , l2ov dd , and ov dd planes to enable quick recharging of the smaller chip capacitors. these bulk capacitors should have a low esr (equivalent series resistance) rating to ensure the quick response time necessary. they should also be connected to the power and ground planes through two vias to minimize inductance. suggested bulk capacitors are 100 - 330 f (avx tps tantalum or sanyo oscon). connection recommendations to ensure reliable operation, it is high ly recommended to connect unused inputs to an appropriate signal level. unused active low inputs should be tied to ov dd . unused active high inputs should be connected to gnd. all nc (no-connect) signals must remain unconnected. power and ground connections must be made to all external v dd , ov dd , l2ov dd , and gnd pins of the PC7410M16. see ?ieee 1149.1 ac timing specifications? on page 19 for a discussion of the l2sync_out and l2sync_in signals. output buffer dc impedance the PC7410M16 60x and l2 i/o drivers are characterized over process, voltage and temperature. to measure z 0 , an external resistor is connected from the chip pad to ov dd or gnd. then the value of each resistor is varied until the pad voltage is ov dd /2 (see figure 18). the output impedance is the average of two components, the resistances of the pull-up and pull-down devices. when data is held low, sw2 is closed (sw1 is open), and r n is trimmed until the voltage at the pad equals ov dd /2. r n then becomes the resistance of the pull-down devices. when data is held high, sw1 is closed (sw2 is open), and r p is trimmed until the voltage at the pad equals ov dd /2. r p then becomes the resistance of the pull-up devices. r p and r n are designed to be close to each other in value. then z 0 = (r p + r n )/2.
29 PC7410M16 2183a?hirel?12/02 figure 18. driver impedance measurement table 13 summarizes the signal impedance results. the impedance increases with junc- tion temperature and is relatively unaffected by bus voltage. pull-up resistor requirements the PC7410M16 requires high-resistive (weak: 10 k ? ) pull-up resistors on several con- trol pins of the bus interface to maintain the control signals in the negated state after they have been actively negated and released by the PC7410M16 or other bus masters. these pins are ts , artry , shdo and shd1 . in addition, the PC7410M16 has one open-drain style output that requires a pull-up resistor (weak or stronger: 4.7 k ? ? 10 k ? ) if it is used by the system. this pin is ckstp_out . during inactive periods on the bus, the address and transfer attributes may not be driven by any master and may therefore float in the high-impedance state for relatively long periods of time. since the PC7410M16 mu st continually monitor these signals for snooping, this float condition may cause excessive power draw by the input receivers on the PC7410M16 or by other receivers in the system. it is recommended that these sig- nals be pulled up through weak (10 k ? ) pull-up resistors by the system, or that they may be otherwise driven by the system during inactive periods of the bus. the snooped address and transfer attribute inputs are a[0:31], ap[0:3], tt[0:4], and gbl . in systems where gbl is not connected and another device may be asserting ts for a snoopable transaction while not driving gbl to the processor, we recommend that a strong (1 k ? ) pull-up resistor be used on gbl . table 13. impedance characteristics with v dd = 1.8v, ov dd = 1.8v or 2.5v, t j = -55 c to 125 c impedance processor bus l2 bus symbol unit r n 41.5 - 54.3 42.7 - 54.1 z 0 ohms r p 37.3 - 55.3 39.3 - 50 z 0 ohms ov dd ognd sw2 sw1 rn rp pad data
30 PC7410M16 2183a?hirel?12/02 the data bus input receivers are normally turned off when no read operation is in progress and therefore do not require pull-up resistors on the bus. other data bus receivers in the system, however, may require pull-ups, or that those signals be other- wise driven by the system during inactive periods by the system. the data bus signals are d[0:63], dp[0:7]. if address or data parity is not used by the system, and the respective parity checking is disabled through hid0, the input receivers fo r those pins are di sabled, and those pins do not require pull-up resistors and should be left unconnected by the system. if all par- ity generation is disabled through hid0, then all parity checking should also be disabled through hid0, and all parity pins may be left unconnected by the system. the l2 interface does not normally require pull-up resistors. jtag configuration signals figure 19. suggested trst connection figure 20. cop connector diagram note: pins 10, 12 and 14 are no connects. pin 14 is not physically present. cop header 2 k ? 2 k ? pc7410 hreset qack trst hreset qack from target board sources 1 2 3 4 5 6 7 8 9 10 11 12 13 15 16 key no pin tms tck tdi tdo ckstp_out hreset sreset run/stop ckstp_in vdd_sense trst qack ground top view
31 PC7410M16 2183a?hirel?12/02 boundary scan testing is enabled through the jtag interface signals. (bsdl descrip- tions of the PC7410M16 are available on the internet at: www.mot.com/powerpc/teksupport.). the trst signal is optional in the ieee 1149.1 specification but is provided on all pow- erpc implementations. while it is possible to force the tap controller to the reset state using only the tck and tms signals, more reliable power-on reset performance will be obtained if the trst signal is asserted during power-on reset. since the jtag interface is also used for accessing the common on-ch ip processor (cop) function of powerpc processors, simply tying trst to hreset is not practical. the common on-chip processor (cop) function of powerpc processors allows a remote computer system (typically a pc with dedicated hardware and debugging software) to access and control the internal operations of the processor. the cop interface con- nects primarily through the jtag port of the processor with some additional status monitoring signals. the cop port requires the ability to independently assert hreset or trst in order to fully control the processor. if the target system has independent reset sources, such as voltage monitors, watchdog timers, power supply failures or push-button switches, then the cop reset signals must be merged into these signals with logic. the arrangement shown in figure 19 allows the cop to independently assert hreset or trst , while ensuring that the target can drive hreset as well. the pull-down resis- tor on trst ensures that the jtag scan chain is initialized during power-on if a jtag interface cable is not attached; if it is attached, it is responsible for driving trst when needed. table 14. cop pin definitions pins signal connection special notes 1tdo tdo 2qack qack add 2k pull-down to ground. must be merged with on-board qack , if any. 3tdi tdi 4trst trst add 2k pull-down to ground. must be merged with on-board trst if any. see figure 19. 5run/stop no connect used on 604e; leave no-connect for all other processors. 6 vdd_sense vdd add 2k pull-up to ov dd (for short circuit limiting protection only). 7tck tck 8 ckstp_in ckstp_in optional. add 10k pull-up to ov dd . used on several emulator products. useful for checkstopping the processor from a logic analyzer of other external trigger. 9tms tms 10 n/a 11 sreset sreset merge with on-board sreset , if any. 12 n/a 13 hreset hreset merge with on-board hreset. 14 n/a key location; pin should be removed. 15 ckstp_out ckstp_out add 10k pull-up to ov dd . 16 ground digital ground
32 PC7410M16 2183a?hirel?12/02 the cop header shown in figure 19 adds many benefits ? breakpoints, watchpoints, register and memory examination/modification and other standard debugger features are possible through this interface ? and can be as inexpensive as an unpopulated foot- print for a header to be added when needed. the cop interface has a standard header for connection to the target system, based on the 0.025? square-post 0.100? centered header assembly (often called a ?berg? header). the connector typically has pin 14 removed as a connector key, as shown in figure 20.
33 PC7410M16 2183a?hirel?12/02 definitions datasheet status description life support applications these products are not designed for use in life support appliances, devices or systems where malfunction of these products can reas onably be expected to result in personal injury. atmel customers using or selling these products for use in such applications do so at their own risk and agree to fully indemnify atmel for any damages resulting from such improper use or sale. table 15. datasheet status datasheet status validity objective specification this datasheet contains target and goal specifications for discussion with customer and application validation. before design phase target specification this datasheet contains target or goal specifications for product development. valid during the design phase preliminary specification -site this datasheet contains preliminary data. additional data may be published later; could include simulation results. valid before characterization phase preliminary specification -site this datasheet also contains characterization results. valid before the industrialization phase product specification this datasheet contains final product specification. valid for production purposes limiting values limiting values given are in accordance with the absolute maximum rating system (iec 134). stress above one or more of the limiting values may cause permanent damage to the device. these are stress ratings only and operation of the device at these or at any other conditions above those given in the characteristics sections of the specification is not implied. exposure to limitin g values for extended periods may affect device reliability. application information where application information is given, it is advisory and does not form part of the specification.
34 PC7410M16 2183a?hirel?12/02 ordering information note: 1. for availability of the different versions, contact your local atmel sales office. pc 7410 v g l x m prefix type multichip package 16 l2 cache densik,: 16 mbits: 256k x 72 ssram package (1) g: cbga gh: hitce (tbc) revision level (1) rev. e application modifier (1) l: 1.8v 100 mv temperature range: t j (1) v: -40c, +110c m: -55c, +125c prototype (x) max internal processor speed (1) 400 mhz 450 mhz (tbc) 400
printed on recycled paper. ? atmel corporation 2002. atmel corporation makes no warranty for the use of its products, other than those expressly contained in the company?s standard warranty which is detailed in atmel?s terms and conditions located on the company?s web site. the company assumes no responsibility for any errors which may appear in this document, reserves the right to change de vices or specifications detailed herein at any time without n otice, and does not make any commitment to update the information contained herein. no licenses to patents or other intellectual property of at mel are granted by the company in connection with the sale of atmel products, ex pressly or by implication. atmel?s products are not authorized for use as critical components in life support devices or systems. atmel headquarters atmel operations corporate headquarters 2325 orchard parkway san jose, ca 95131 tel 1(408) 441-0311 fax 1(408) 487-2600 europe atmel sarl route des arsenaux 41 case postale 80 ch-1705 fribourg switzerland tel (41) 26-426-5555 fax (41) 26-426-5500 asia room 1219 chinachem golden plaza 77 mody road tsimhatsui east kowloon hong kong tel (852) 2721-9778 fax (852) 2722-1369 japan 9f, tonetsu shinkawa bldg. 1-24-8 shinkawa chuo-ku, tokyo 104-0033 japan tel (81) 3-3523-3551 fax (81) 3-3523-7581 memory 2325 orchard parkway san jose, ca 95131 tel 1(408) 441-0311 fax 1(408) 436-4314 microcontrollers 2325 orchard parkway san jose, ca 95131 tel 1(408) 441-0311 fax 1(408) 436-4314 la chantrerie bp 70602 44306 nantes cedex 3, france tel (33) 2-40-18-18-18 fax (33) 2-40-18-19-60 asic/assp/smart cards zone industrielle 13106 rousset cedex, france tel (33) 4-42-53-60-00 fax (33) 4-42-53-60-01 1150 east cheyenne mtn. blvd. colorado springs, co 80906 tel 1(719) 576-3300 fax 1(719) 540-1759 scottish enterprise technology park maxwell building east kilbride g75 0qr, scotland tel (44) 1355-803-000 fax (44) 1355-242-743 rf/automotive theresienstrasse 2 postfach 3535 74025 heilbronn, germany tel (49) 71-31-67-0 fax (49) 71-31-67-2340 1150 east cheyenne mtn. blvd. colorado springs, co 80906 tel 1(719) 576-3300 fax 1(719) 540-1759 biometrics/imaging/hi-rel mpu/ high speed converters/rf datacom avenue de rochepleine bp 123 38521 saint-egreve cedex, france tel (33) 4-76-58-30-00 fax (33) 4-76-58-34-80 e-mail literature@atmel.com web site http://www.atmel.com 2183a?hirel?12/02 0m at m e l ? is the registered trademark of atmel. the powerpc names and the powerpc logotype are trademarks of international business machines corpora- tion, used under license therform. motorola ? is the registered trademark of motorola, inc. altivec ? is a trademark of motorola, inc. other terms and product names may be the trademarks of others.


▲Up To Search▲   

 
Price & Availability of PC7410M16

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X